【Hexo】-06-使用github同步博客时的若干问题及解决
引用Hexo主题导致git仓库相互包含的问题使用 Hexo 主题的方式一般有两种:一种是通过 npm 安装在 node_modules 文件夹下,另一种方式是通过 git clone 方式安装在 themes 文件夹下。由于第一种方法可能会造成 node_modules 相互依赖的一些问题,我使用了第二种方法进行管理主题。
在使用第二种方式管理的时候,由于本身的Hexo博客源文件是一个git仓库,而主题themes也是一个git仓库。出现了相互包含的情况,因此需要使用 git submodule [^1]的方法管理包含的主题仓库。
首先,下载和安装butterfly主题,具体参见官方文档。[^2]
注意
这里需要按照 git clone 的方式安装。同时,安装主题也可以直接使用参考1[^1]中的 git submodule add 的方式,这样可以省略后续步骤。这里后续讨论直接使用 git clone 之后的情况。
然后,在使用主题时,尽量不要改动主题文件夹下的文件,避免日后进行 git pull 更新的时候产生冲突问题。
在 Hexo 根目录下,创建并编辑 .gitmod ...
【Fluid】00_Hexo主题Fluid配置
脚注功能使用md自带的脚注功能[^1]
便签常在网页中看到便签,却没有明白是怎么插入的。[^1]这里直接告诉了,这个好像并不是被Markdown直接支持,需要支持渲染:
1234567{% note warning %}**WARNING**使用时 `{% note primary %}` 和 `{% endnote %}` 需单独一行,否则会出现问题{% endnote %}
WARNING
使用时 `和
` 需单独一行,否则会出现问题
或者使用html语法:
1<p class="note note-primary">标签</p>
标签
数学公式支持$$E = mc^2$$
按照官方的文档使用mathjax好像会有一点问题[^1],多安装一个module之后,mathjax运行正常:
1npm install hexo-renderer-mathjax --save
最终的hexo使用的模块如下:
123456789101112├ ...
【ChiselBookByLiang】00_Chisel
Chapter1课后思考题
Scala是基于什么运行的语言?
答:Scala是基于JVM(java虚拟机)运行的语言。
概述Scala语言的特点和优势
答:Scala语言全面兼容java,使用面向对象的编程方式,易拓展,易使用,采用函数式编程。利用其语法优势造就了强大的伸缩性,是一门优秀的宿主语言,可用于DSL(Domain Specific Language)的设计。
面向对象语言的三大特征
答:继承、继承、多态(一种行为,多种实现。例如,开枪:①“哒哒哒”,②“磅磅磅”)
指令式编程和函数式编程
答:指令式编程就是按照指令一步一步运行,完成程序执行的思想进行编程;函数式编程是指把程序抽象为函数的嵌套(这里的函数是指数学上的函数,映射关系),进行编程的思想。一篇文章看懂函数式编程与命令式编程_51CTO博客_函数式编程
Verilog的缺点
答:类似C,语法太低级,面对大规模的电路时,编写代码会比较复杂。
Chisel全称
答:Constructing Hardware In a Scala Embedded Language
Chisel特点和优势
答:面向对象,代码量 ...
【ChiselBookV1】00_Combinational_Circuit
Chisel Book的第一版带有中文,但是内容并没有后面V4完善,作为第一本入门书。
前面已经写了很多关于Chisel的内容,本文紧跟 【Chisel】03 开始。
Debug
运行sbt的路径出现问题,需要在项目的根目录下运行。
否则会出现找不到chisel的报错。
中间使用了switch但是忘记引用包:import chisel3 . util ._
出现找不到switch的报错。
最后一个重要的bug,还是关于switch使用。
switch报错源代码
12345678910111213141516171819202122232425262728293031323334package seg import chisel3._import chisel3.util._import chisel3.experimental._/** * Digital display exampale.Transform decimal number to * segment display modul ...
【PA】00_pa0_record
PA0 Record遇见的问题由于把workspace从台式机搬迁到了笔记本上(换上了新的ssd盘),尝试把原来的仓库clone下来,但是没有成功。原因是pa0部分提到的:
Besides, the script will also add some environment variables into the bash configuration file ~/.bashrc. These variables are defined by absolute path to support the compilation of the subprojects. Therefore, **DO NOT move your project to another directory once finishing the initialization, else these variables will become invalid. **Particularly, if you use shell other than bash, please set these variabl ...
【ysyx】01_NJU_Digital_Circuit_Exp
实验一 选择器问题描述用选择器模板实现一个2位4选1的选择器,如下图所示,选择器有5个2位输入端,分别为X0, X1, X2, X3和Y,输出端为F;X0, X1, X2, X3是四个2位的输入变量。输出F端受控制端Y的控制,选择其中的一个X输出,当Y = 00时,输出端输出X0,即F = X0;当Y = 01时,输出端输出X1,即F = X1;以此类推。
模板代码12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849505152module MuxKeyInternal #(NR_KEY = 2, KEY_LEN = 1, DATA_LEN = 1, HAS_DEFAULT = 0) ( output reg [DATA_LEN-1:0] out, input [KEY_LEN-1:0] key, input [DATA_LEN-1:0] default_out, input [NR_KEY*(KEY_LEN + ...
【ysyx】00_pre_learn_record
LinuxLinux 系统的可配置性太高了,但同时对于刚入门的我来说不禁显得有点陌生和不适应。刚才试着重新配置一下vim(加装md插件和md_preview插件),但是过程过于繁琐,打算一步步来,现在暂时放一放,先尝试加装md插件。
并没有加装md插件,继续看ppt,并体会Linux的神奇。这幅图片很有意思,曲线确实有点陡峭:
以下命令基本上覆盖了大多数需求,要勤加掌握:
123456文件管理 - cd, pwd, mkdir, rmdir, ls, cp, rm, mv, tar文件检索 - cat, more, less, head, tail, file, find输入输出控制 - 重定向, 管道, tee, xargs文本处理 - vim, grep, awk, sed, sort, wc, uniq, cut, tr正则表达式任务管理 - jobs, ps, top, kill, free, lsof
第二遍看完工具是第一生产力——Linux入门教程,比第一次更加熟悉其中的一些命令,也更加明白yzh兄的用心所在。还是找一本书看起,系统地学习是最好的,然后在使用的途中在通过 ...
【ChiselBookV1】01_Sequential_Circuit
123456// This function returns a counter def genCounter(n: Int) = { val cntReg = RegInit(0.U(8.W)) cntReg := Mux(cntReg === n.U, 0.U, cntReg + 1.U) cntReg}
【chisel】03_chisel_combiantion_logic
7段数码管显示七段数码管真值表:
xxxxxxxxxx // This function returns a counter def genCounter(n: Int) = { val cntReg = RegInit(0.U(8.W)) cntReg := Mux(cntReg === n.U, 0.U, cntReg + 1.U) cntReg}scala
新增语法点:
Decoupled
Flipped
【LinuxToy】02_vim
Vim 分屏
为了方便参考源代码,需要对Vim进行分屏操作。
使用命令:vsp filename打开一个文件并进行分屏。
Vim的record操作record一串操作到一个特定的符号上,然后使用@符号召唤改操作。
前面加上数字能够重复该召唤。
参考资料
Vim 分屏编辑 - Vim 命令操作教程 | linux教程
Vim的分屏功能 | 酷 壳 - CoolShell
Recording keys for repeated jobs | Vim Tips Wiki | Fandom
Configuring vim · GitBook
vim recording功能介绍 | 网络进行时
VIM 中使用 Ctrl-A 和 Ctrl-X 控制数字增减_wzb56的博客-CSDN博客